Skocz do zawartości

Przeszukaj forum

Pokazywanie wyników dla tagów 'nixie'.

  • Szukaj wg tagów

    Wpisz tagi, oddzielając przecinkami.
  • Szukaj wg autora

Typ zawartości


Kategorie forum

  • Elektronika i programowanie
    • Elektronika
    • Arduino i ESP
    • Mikrokontrolery
    • Raspberry Pi
    • Inne komputery jednopłytkowe
    • Układy programowalne
    • Programowanie
    • Zasilanie
  • Artykuły, projekty, DIY
    • Artykuły redakcji (blog)
    • Artykuły użytkowników
    • Projekty - DIY
    • Projekty - DIY roboty
    • Projekty - DIY (mini)
    • Projekty - DIY (początkujący)
    • Projekty - DIY w budowie (worklogi)
    • Wiadomości
  • Pozostałe
    • Oprogramowanie CAD
    • Druk 3D
    • Napędy
    • Mechanika
    • Zawody/Konkursy/Wydarzenia
    • Sprzedam/Kupię/Zamienię/Praca
    • Inne
  • Ogólne
    • Ogłoszenia organizacyjne
    • Dyskusje o FORBOT.pl
    • Na luzie

Kategorie

  • Quizy o elektronice
  • Quizy do kursu elektroniki I
  • Quizy do kursu elektroniki II
  • Quizy do kursów Arduino
  • Quizy do kursu STM32L4
  • Quizy do pozostałych kursów

Szukaj wyników w...

Znajdź wyniki, które zawierają...


Data utworzenia

  • Rozpocznij

    Koniec


Ostatnia aktualizacja

  • Rozpocznij

    Koniec


Filtruj po ilości...

Data dołączenia

  • Rozpocznij

    Koniec


Grupa


Imię


Strona

Znaleziono 2 wyniki

  1. W internecie można znaleźć mnóstwo projektów zegarów opartych na NIXIE, które sterowane są najczęściej za pomocą Arduino. Ja jednak postanowiłem nie powielać jednego z wielu już dostępnych projektów, a zrobić coś samodzielnie i tak narodził się pomysł zegara NIXIE sterowanego z FPGA. Mój projekt zegara NIXIE składa się z czterech elementów - przetwornicy wysokiego napięcia, płytki z układem FPGA, płytki sterującej oraz samych lampach. Całość zasilana jest napięciem 12V, które przez przetwornicę HV podawane jest na anodę lamp NIXIE. Płytka FPGA zasilana jest napięciem o wartości 5V, które wytwarzane jest przez stabilizator 7805. Jednym z założeń projektu było również ni używanie modułów czasu rzeczywistego RTC. Wyświetlany przez zegar czas jest generowany tylko i wyłącznie przez układ FPGA. FPGA wytwarza również sygnały sterujące poszczególnymi katodami lamp, które podawane są na bazy tranzystorów z płytki sterującej. Przetwornica wysokiego napięcia to typowa konstrukcja z dalekiego wschodu. Posiada ona wejście, na które podajemy napięcie z zakresu 12-24V i wyjście, na którym za pomocą potencjometru możemy ustawić napięcie rzędu 85-235V. Wydajność prądowa urządzenia zależna jest od napięcia wyjściowego, ale przy zasilaniu lamp NIXIE (około 170V) wynosi 55mA. Mózgiem zegara jest płytka Mimas wyposażona w układ XC6LX9 taktowany sygnałem o częstotliwości 100MHz. Tej płytki użyłem głównie ze względu na niewielki rozmiary i dość sporą ilość wyjść. Płytka zasilana jest z portu external power, w takim przypadku należy pamiętać o zmianie położenia zworki wyboru zasilania z 1-2 na 2-3. Płytki sterujące, których zadaniem jest zapalanie poszczególnych cyfr w lampach NIXIE, oparte zostały na wysokonapięciowych tranzystorach BF458, BF459 oraz KT604BM, które są ich radzieckimi odpowiednikami. Same lampy NIXIE to konstrukcje pochodzące jeszcze z byłego związku radzieckiego oznaczone symbolem IN-12B. Mogą one wyświetlać cyfry od 0 do 9, napięcie pracy wynosi około 170V natomiast pobierany prąd około 3mA. Powyżej umieściłem schemat budowy zegara.. Napięcie wejściowe 12V podłączone jest do stabilizatora 7805, który zasila płytkę FPGA. Przetwornica wysokiego napięcia również zasilana jest napięciem 12V, natomiast wyjściowe 170V trafia przez rezystor 47kΩ na anodę każdej lampy. Wysokonapięciowy tranzystor sterowany jest przez FPGA i zależnie od napięcia na bazie załącza pojedynczą cyfrę lampy. Jak już wcześniej wspomniałem, jednym z założeń projektu było generowanie czasu tylko przy pomocy FPGA. Oczywiście rodzi to pewien problem, który może pojawić się po jakimś czasie, a jest nim rozkalibrowanie zegara. Mówiąc w wprost wyświetlana godzina, może różnić się względem czasu rzeczywistego, ale będąc szczerym, sam jestem ciekaw jak szybko to nastąpi. Przygotowany przeze mnie kod opiera się na sygnale zegarowym o wartości 100MHz, który generowany jest bezpośrednio na płytce z układem FPGA. Czas wyznaczany jest w najłatwiejszy możliwy sposób - przez kilka liczników. Poza tym sygnały odpowiadające minutą i godziną dekodowane są na odpowiednie sygnały załączające odpowiednie tranzystory. Ustawienie zegara możliwe jest bezpośrednio z poziomu kodu. Modyfikując wartość początkową sygnałów hour oraz minute można ustawić na zegarze dowolną godzinę. Cały kod VHDL wraz z zawartością pliku .ufc umieszczam poniżej. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.std_logic_unsigned.all; entity clock is port( clk : in std_logic; --wejscie zegara reset : in std_logic; --wejscie reset lamp1 : out std_logic_vector(9 downto 0); --wyjscie dla lampy 1 lamp2 : out std_logic_vector(9 downto 0); --wyjscie dla lampy 2 lamp3 : out std_logic_vector(9 downto 0); --wyjscie dla lampy 3 lamp4 : out std_logic_vector(9 downto 0) --wyjscie dla lampy 4 ); end clock; architecture Behavioral of clock is signal one_second_counter: STD_LOGIC_VECTOR (27 downto 0); --licznik wyznaczajacy 1 sekunde signal one_second_enable: std_logic; --sygnal 1 sekundowy signal minute : std_logic_vector(5 downto 0):="000000"; --licznik minut signal second : std_logic_vector(5 downto 0); --licznik sekund signal hour : std_logic_vector(4 downto 0):="10010"; --licznik godzin begin process(clk, reset) --licznik 1 sekundowy begin if(reset='0') then one_second_counter <= (others => '0'); elsif(rising_edge(clk)) then if(one_second_counter>=x"5F5E0FF") then one_second_counter <= (others => '0'); else one_second_counter <= one_second_counter + "0000001"; end if; end if; end process; one_second_enable <= '1' when one_second_counter=x"5F5E0FF" else '0'; process(clk, reset, one_second_enable) --licznik sekund begin if(reset='0') then second<="000000"; elsif(rising_edge(clk)) then if(one_second_enable='1') then second<=second + "000001"; elsif(second = x"3C") then second<="000000"; end if; end if; end process; process(clk, reset, second) --licznik minut begin if(reset='0') then minute<="000000"; elsif(rising_edge(clk)) then if(second = x"3C") then minute<=minute+"000001"; elsif(minute = x"3C") then minute<="000000"; end if; end if; end process; process(clk, reset, minute) --licznik godzin begin if(reset='0') then hour<="00000"; elsif(rising_edge(clk)) then if(minute = x"3C") then hour<=hour+"00001"; elsif(hour = x"18") then hour<="00000"; end if; end if; end process; with minute select --dekoder lampa 4 lamp4 <= "0000000001" when "000000", "0000000010" when "000001", "0000000100" when "000010", "0000001000" when "000011", "0000010000" when "000100", "0000100000" when "000101", "0001000000" when "000110", "0010000000" when "000111", "0100000000" when "001000", "1000000000" when "001001", "0000000001" when "001010", "0000000010" when "001011", "0000000100" when "001100", "0000001000" when "001101", "0000010000" when "001110", "0000100000" when "001111", "0001000000" when "010000", "0010000000" when "010001", "0100000000" when "010010", "1000000000" when "010011", "0000000001" when "010100", "0000000010" when "010101", "0000000100" when "010110", "0000001000" when "010111", "0000010000" when "011000", "0000100000" when "011001", "0001000000" when "011010", "0010000000" when "011011", "0100000000" when "011100", "1000000000" when "011101", "0000000001" when "011110", "0000000010" when "011111", "0000000100" when "100000", "0000001000" when "100001", "0000010000" when "100010", "0000100000" when "100011", "0001000000" when "100100", "0010000000" when "100101", "0100000000" when "100110", "1000000000" when "100111", "0000000001" when "101000", "0000000010" when "101001", "0000000100" when "101010", "0000001000" when "101011", "0000010000" when "101100", "0000100000" when "101101", "0001000000" when "101110", "0010000000" when "101111", "0100000000" when "110000", "1000000000" when "110001", "0000000001" when "110010", "0000000010" when "110011", "0000000100" when "110100", "0000001000" when "110101", "0000010000" when "110110", "0000100000" when "110111", "0001000000" when "111000", "0010000000" when "111001", "0100000000" when "111010", "1000000000" when "111011", "0000000000" when others; with minute select --dekoder lampa 3 lamp3 <= "0000000001" when "000000", "0000000001" when "000001", "0000000001" when "000010", "0000000001" when "000011", "0000000001" when "000100", "0000000001" when "000101", "0000000001" when "000110", "0000000001" when "000111", "0000000001" when "001000", "0000000001" when "001001", "0000000010" when "001010", "0000000010" when "001011", "0000000010" when "001100", "0000000010" when "001101", "0000000010" when "001110", "0000000010" when "001111", "0000000010" when "010000", "0000000010" when "010001", "0000000010" when "010010", "0000000010" when "010011", "0000000100" when "010100", "0000000100" when "010101", "0000000100" when "010110", "0000000100" when "010111", "0000000100" when "011000", "0000000100" when "011001", "0000000100" when "011010", "0000000100" when "011011", "0000000100" when "011100", "0000000100" when "011101", "0000001000" when "011110", "0000001000" when "011111", "0000001000" when "100000", "0000001000" when "100001", "0000001000" when "100010", "0000001000" when "100011", "0000001000" when "100100", "0000001000" when "100101", "0000001000" when "100110", "0000001000" when "100111", "0000010000" when "101000", "0000010000" when "101001", "0000010000" when "101010", "0000010000" when "101011", "0000010000" when "101100", "0000010000" when "101101", "0000010000" when "101110", "0000010000" when "101111", "0000010000" when "110000", "0000010000" when "110001", "0000100000" when "110010", "0000100000" when "110011", "0000100000" when "110100", "0000100000" when "110101", "0000100000" when "110110", "0000100000" when "110111", "0000100000" when "111000", "0000100000" when "111001", "0000100000" when "111010", "0000100000" when "111011", "0000000000" when others; with hour select --dekoder lampa 2 lamp2 <= "0000000001" when "00000", "0000000010" when "00001", "0000000100" when "00010", "0000001000" when "00011", "0000010000" when "00100", "0000100000" when "00101", "0001000000" when "00110", "0010000000" when "00111", "0100000000" when "01000", "1000000000" when "01001", "0000000001" when "01010", "0000000010" when "01011", "0000000100" when "01100", "0000001000" when "01101", "0000010000" when "01110", "0000100000" when "01111", "0001000000" when "10000", "0010000000" when "10001", "0100000000" when "10010", "1000000000" when "10011", "0000000001" when "10100", "0000000010" when "10101", "0000000100" when "10110", "0000001000" when "10111", "0000000000" when others; with hour select --dekoder lampa 1 lamp1 <= "0000000001" when "00000", "0000000001" when "00001", "0000000001" when "00010", "0000000001" when "00011", "0000000001" when "00100", "0000000001" when "00101", "0000000001" when "00110", "0000000001" when "00111", "0000000001" when "01000", "0000000001" when "01001", "0000000010" when "01010", "0000000010" when "01011", "0000000010" when "01100", "0000000010" when "01101", "0000000010" when "01110", "0000000010" when "01111", "0000000010" when "10000", "0000000010" when "10001", "0000000010" when "10010", "0000000010" when "10011", "0000000100" when "10100", "0000000100" when "10101", "0000000100" when "10110", "0000000100" when "10111", "0000000000" when others; end Behavioral; Plik .ufc NET "clk" LOC = P126; NET "reset" LOC = P124 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST | PULLUP; NET "lamp1[0]" LOC = P10 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[1]" LOC = P35 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[2]" LOC = P33 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[3]" LOC = P30 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[4]" LOC = P27 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[5]" LOC = P24 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[6]" LOC = P22 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[7]" LOC = P17 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[8]" LOC = P15 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp1[9]" LOC = P12 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[0]" LOC = P23 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[1]" LOC = P8 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[2]" LOC = P6 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[3]" LOC = P2 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[4]" LOC = P142 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[5]" LOC = P140 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[6]" LOC = P34 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[7]" LOC = P32 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[8]" LOC = P29 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp2[9]" LOC = P26 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[0]" LOC = P139 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[1]" LOC = P21 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[2]" LOC = P16 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[3]" LOC = P14 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[4]" LOC = P11 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[5]" LOC = P9 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[6]" LOC = P7 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[7]" LOC = P5 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[8]" LOC = P1 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp3[9]" LOC = P141 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[0]" LOC = P43 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[1]" LOC = P44 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[2]" LOC = P46 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[3]" LOC = P48 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[4]" LOC = P51 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[5]" LOC = P56 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[6]" LOC = P55 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[7]" LOC = P50 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[8]" LOC = P47 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; NET "lamp4[9]" LOC = P45 | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; Fizycznie zegar umieszczony został w obudowie o wymiarach 14x14x6cm wykonanej ze spienionego PCV pomalowanego na czarno. Otwory, w których umieściłem lampy, wycięte zostały ręcznie. Płytka FPGA wraz z przetwornicą umieszczona została na samym dole, powyżej znajdują się płytki z tranzystorami. Poniżej umieszczam kilka zdjęć z procesu budowy oraz samego działania zegara. Jeśli ktoś chciałby poczytać więcej o moich projektach to zapraszam https://rafal-bartoszak.blogspot.com/ 😉
  2. Niskobudżetowy zegar Nixie Każdy elektronik chyba kiedyś widział urządzenie oparte o lampy Nixie. Z racji ich uroku, niepowtarzalnego wyglądu i chęci zrobienia czegoś "wow", i ja taki zbudowałem. Działanie lamp Nixie: Dla tych, którzy nie wiedzą co lampy Nixie, już służę pomocą: lampy Nixie zostały wynalezione w latach 60. ubiegłego wieku. Pierwsza firma która je produkowała tak je nazwała i się ta nazwa przyjęła. Były też to pierwsze wyświetlacze cyfrowe. Ich działanie polega na jonizowaniu się gazu (neonu z domieszkami) wokół katody z przyłożonym napięciem ok. 180V. Zjonizowany gaz powoduje świecenie się, i układa się wokół katody (w tym przypadku cyfry). Na żywo wygląda to bezcennie, lecz należy pamiętać że to wysokie napięcie. Budowa: Ale może najpierw coś o mnie: nazywam się Leon, mam 14 lat, chodzę do 8 klasy podstawówki i interesuję się elektroniką, informatyką, itp. Mam też drukarkę 3D - nie wykorzystałem jej w konstrukcji z racji jej awarii (czekam jeszcze na nowego rampsa 😉 ). Przechodząc już do zegara: z racji mojego stosunkowo młodego wieku, nie mam zbyt dużo pieniędzy na projekty więc chciałem na całość przeznaczyć ok. 100 zł zebranych od dziadków. Dlatego miało wyjść tanio i dobrze. Założenia z góry były jasne: multiplexowanie 1 sterownikiem, użycie 4 lamp, oraz materiałów z odzysku. Zacząłem od zrobienia przetwornicy step-up na 200V prądu stałego. Skorzystałem z tego schematu, który się sprawdził dość dobrze. Potem przyszedł mi sterownik 74141, oraz neonówka - mogłem już sprawdzić czy wszystko działa, i działało za pierwszym razem (możecie zacząć budować bunkier na apokalipsę). Następnie przeszedłem do zrobienia płytki głównej - goła atmega 328 z kwarcem 16mhz, ze sterownikiem na jednej płytce. Od razu zamontowałem moduł czasu RTC DS1302 (najtańszy) który lekko zmodyfikowałem - piny dałem z drugiej strony, a na górze zamontowałem koszyczek na dużą baterię od biosa. Do tego doszedł stabilizator 7805 i sterownik katod lamp. Całość wyszła całkiem schludnie - jestem z tego zadowolony. Na końcu doszły mi tranzystory do sterowania anodami lamp. Zastosowałem tu klucz z NPN MPSA42 oraz PNP MPSA92. I tutaj, podczas testów zrobiłem błąd - z racji małego protoboarda zrobiło się zwarcie, przez które zjarałem mój pierwszy rezystor w życiu (!), a tranzystory jakoś działały dalej. Po naprawieniu usterki 1 lampa działała - mogłem wyświetlić wszystkie cyfry od 0 do 9. Mogłem też zmierzyć, że napięcie zapłonu wynosi 180V i obniża się do 140V napięcia pracy. Teraz zostało mi zrobić podstawki - model pod lampy IN-12 do druku mogę udostępnić, ale z racji uszkodzenia płyty musiałem je zrobić sam. Wziąłem więc starą pokrywkę od farby, wyciąłem prostokąty, markerem zaznaczyłem miejsca na piny wdg. datasheetu, mini wiertarką wywierciłem otwory. Musiałem przygotować też same piny do podstawek - użyłem tu rozwierconych pinów z podstawek precyzyjnych, a następnie młotkiem wbiłem we wcześniej przygotowaną podstawę. Elektronika była gotowa, więc zacząłem programować. Po chwili dodałem mikrofon elektretowy, aby po klaśnięciu zegar się sam wyłączył, i od razu przeświecił wszystkie cyfry w celu uniknięcia efektu zatrucia katod. Zauważyłem też, że cewka w przetwornicy się dość mocno grzeje - dałem więc kapkę pasty termoprzewodzącej z domieszkami złota i przykleiłem radiator. Została mi już najgorsza część - obudowa. Normalnie bym takową wydrukował, ale że nie mogłem, wyciąłem ze sklejki listewki które pomalowałem szprejem na czarny mat. Wywierciłem otwory, poskręcałem śrubami M2,5. Wyszło źle, krzywo, niedokładnie - po prostu do d.... , pewnie dlatego że to była moja pierwsza obudowa ze sklejki, i z pewnością wydrukuję później obudowę (post zaktualizuję). Z daleka, jak patrzymy na zegar, wygląda on ciekawie - czarna bryła, lampy rosyjskiej produkcji i to klaśnięcie - wszystko to sprawia, że zegar dodaje niepowtarzalny klimat do pokoju. Zegar robiłem cały tydzień szkolny. Działanie zegara: Zegar wyposażyłem w klawiaturę 3 przycisków - "+", "-", oraz "prog". Przytrzymując przycisk prog możemy nastawić zegar, klikając odpowiednio + i -, oraz kliknąć prog ponownie by nastawić kolejną cyfrę. Podczas zwykłego działania, kliknięcie + spowoduje wyświetlanie się minut oraz sekund, a - będzie wyświetlał godziny i minuty. Dodatkowo, jeżeli podczas uruchamiania zegara przytrzymamy przycisk +, zostanie wywołany efekt "slot machine". Całość programowałem w środowisku Arduino, za pomocą programatora USBASP. Lista zakupów: 4x lampy IN-12 - ok. 10zł/sztuka, 50zł całość (+przesyłka) konwerter step-up - jakieś 20zł za całość sterownik, neonówka i przesyłka - 20zł tranzystory z drobiazgami - 20zł ----------------------------------------------------------------------- Za całość zapłaciłem jakieś 110zł. Resztę elementów już miałem. Dość nieźle, kiedy najtańsze zegary były chyba za ok. 300zł. Cudem jest fakt, że przeżyłem - akurat teraz mnie nic nie kopnęło, ale wcześniej doświadczyłem mocy napięcia gniazdkowego (długa historia). Sam zegar przyniósł mi dużo pochwał, szacunek u kolegów, 6 z fizyki na semestr - to tak jak te cudowne aplikacje na androida 😉 Od siebie jeszcze powiem, że na pewno zegar rozbuduję i wzbogacę o nowe funkcje. Co dalej? Mam w planach kalkulator domowej roboty, z kolegą zbudowałem już działający prototyp urządzenia podlewającego rzeżuchę. Oczywiście zachęcam do budowy zegara, ale należy pamiętać o wysokim napięciu. Pozdrawiam, Leoneq :3
×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.