Skocz do zawartości

[FPGA] Elbert v2 VHDL problem z if-em


StaryAnoda

Pomocna odpowiedź

Hej

Nie działa mi konstrukcja if w VHDL-u

Podpowie mi ktoś ?

ERROR:HDLParsers:164 - "E:/VHDL/a_first_LED/mryganie_led.vhd" Line 18. parse error, unexpected IF

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity podstawowe_bramki is
Port(
     LED : out STD_LOGIC_VECTOR(1 downto 0);
	SWITCH : in STD_LOGIC_VECTOR(0 downto 0)	
	);  
end podstawowe_bramki;

architecture Behavioral of podstawowe_bramki is

begin

if SWITCH(0) = '1' then
LED(0) <= '1';
else
LED(0) <= '0';
end if; 
LED(1) <= '1';

--LED(0) <= '1';
--LED(1) <= not SWITCH(0);

end Behavioral;
Link do komentarza
Share on other sites

Dołącz do dyskusji, napisz odpowiedź!

Jeśli masz już konto to zaloguj się teraz, aby opublikować wiadomość jako Ty. Możesz też napisać teraz i zarejestrować się później.
Uwaga: wgrywanie zdjęć i załączników dostępne jest po zalogowaniu!

Anonim
Dołącz do dyskusji! Kliknij i zacznij pisać...

×   Wklejony jako tekst z formatowaniem.   Przywróć formatowanie

  Dozwolonych jest tylko 75 emoji.

×   Twój link będzie automatycznie osadzony.   Wyświetlać jako link

×   Twoja poprzednia zawartość została przywrócona.   Wyczyść edytor

×   Nie możesz wkleić zdjęć bezpośrednio. Prześlij lub wstaw obrazy z adresu URL.

×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.