Skocz do zawartości

Wyświetlacz 7 segmentowy


poiuy38534

Pomocna odpowiedź

Cześć,

na stronie producenta "Numato Labs" są przykłady kodu:

https://numato.com/product/elbert-v2-spartan-3a-fpga-development-board

Tutaj dokładnie masz kod w Verilogu (do modułu rozszerzeń - trzeba go nieznacznie przerobić):

https://github.com/numato/samplecode/blob/master/FPGA/ExpansionModules/SevenSegmentLEDDisplay/src/spartan3/SevenSegmentExpansionModule.v

Tutaj masz kod do samej płytki Elbert V2 (aplikacja demonstracyjna):

https://github.com/numato/samplecode/blob/master/FPGA/ElbertV2/elbertV2Demo/src/ElbertV2SevenSegmentDisplay.vhd

Ten linki też mogą się przydać:

https://www.fpga4fun.com/Opto3.html

https://www.fpga4student.com/2017/09/vhdl-code-for-seven-segment-display.html

https://www.fpga4student.com/2017/09/seven-segment-led-display-controller-basys3-fpga.html

A tutaj masz plik ucf do Elbert'a - wystarczy tylko poszukać odpowiednie piny i przenieść do swojego pliku UCF.

https://github.com/numato/samplecode/blob/master/FPGA/ElbertV2/elbertV2Demo/ucf/Elbertv2.ucf

Pozdrawiam

 

Edytowano przez FlyingDutch
  • Lubię! 2
Link do komentarza
Share on other sites

Zarejestruj się lub zaloguj, aby ukryć tę reklamę.
Zarejestruj się lub zaloguj, aby ukryć tę reklamę.

jlcpcb.jpg

jlcpcb.jpg

Produkcja i montaż PCB - wybierz sprawdzone PCBWay!
   • Darmowe płytki dla studentów i projektów non-profit
   • Tylko 5$ za 10 prototypów PCB w 24 godziny
   • Usługa projektowania PCB na zlecenie
   • Montaż PCB od 30$ + bezpłatna dostawa i szablony
   • Darmowe narzędzie do podglądu plików Gerber
Zobacz również » Film z fabryki PCBWay


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;


entity sevseg is
    Port ( clk : in STD_LOGIC;
           led_seg : out STD_LOGIC_VECTOR (6 downto 0);
           led_out : out STD_LOGIC_vector (7 downto 0);
           dp      : out std_logic
           );
end sevseg;

architecture Behavioral of sevseg is
    
    signal digit : std_logic_vector (3 downto 0);
    signal counter :std_logic_vector (25 downto 0);
    
begin
    process(clk)
    begin
        if rising_edge(clk) then
            if counter = 0 then
                digit <= digit +1;
                end if;
                counter <= counter +1;
         end if;       
     end process;
        
        with digit
            select led_seg <=
                not "1111110" when "0000",
                not "0110000" when "0001",
                not "1101101" when "0010",
                not "1111001" when "0011",
                not "0110011" when "0100",
                not "1011011" when "0101",
                not "1011111" when "0110",
                not "1110000" when "0111",
                not "1111111" when "1000",
                not "1111011" when "1001",
                not "1110111" when "1010",
                not "0011111" when "1011",
                not "1001110" when "1100",
                not "0111101" when "1101",
                not "1001111" when "1110",
                not "1000111" when others;
                
       led_out <= "01111111"; 
       dp      <= '1';    
            
end Behavioral;

ok, dzieki.

U mnie to zadzialalo tak.

  • Lubię! 1
Link do komentarza
Share on other sites

Dołącz do dyskusji, napisz odpowiedź!

Jeśli masz już konto to zaloguj się teraz, aby opublikować wiadomość jako Ty. Możesz też napisać teraz i zarejestrować się później.
Uwaga: wgrywanie zdjęć i załączników dostępne jest po zalogowaniu!

Anonim
Dołącz do dyskusji! Kliknij i zacznij pisać...

×   Wklejony jako tekst z formatowaniem.   Przywróć formatowanie

  Dozwolonych jest tylko 75 emoji.

×   Twój link będzie automatycznie osadzony.   Wyświetlać jako link

×   Twoja poprzednia zawartość została przywrócona.   Wyczyść edytor

×   Nie możesz wkleić zdjęć bezpośrednio. Prześlij lub wstaw obrazy z adresu URL.

×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.