Skocz do zawartości

Co, jak, dlaczego??Jak zabrać się za PLD??


misiek1994

Pomocna odpowiedź

Wszystko wygląda pięknie, z tym, że w sieci nie ma żadnych kursów. Tak jakby to w ogóle nie istniało. Może wyjaśnicie mi jak zabrać się za to, co na początek? Poza tym rozumiem, że jak chcem coś zrobić to architekturę półprzewodników, uC itp. znać idealnie?? Który układ dobry jest dla początkującego??

Link do komentarza
Share on other sites

Po pierwsze popraw błędy w swoim poście. Po drugie, wygląda na to, że w "Elektronice dla wszystkich" będzie kurs CPLD. W sierpniowym numerze jest płytka testowa do przyszłego kursu.

Link do komentarza
Share on other sites

Tylko płytka, czy ogólny opis tego kursu (pierwsza część).

pozdr.

misiek1994

PS: Z tymi błędami to nie przesadzaj. 2 błędy może były!!!

[ Dodano: 31 Lip 08 10:17 ]

Jeszcze jedno: gdzie jest haczyk. Skoro niewiele osób tego używa to na pewno idealne to to nie jest.

Link do komentarza
Share on other sites

Wszystko wygląda pięknie, z tym, że w sieci nie ma żadnych kursów. Tak jakby to wogóle nie istniało. Może wyjasnicie mi jak zabrać się za to, co na początek? Poza tym rozumiem, że jak chcem coś zrobić to architekture półprzewodników, uC itp. znać idealnie?? Który układ dobry jest dla początkującego??

Ja naliczyłem 4 błędy. Co do kursu w EdW, ma się rozpocząć od następnego numeru, czyli od września. W sierpniowym numerze jest płytka prototypowa tylko wraz z jakimś krótkim jej testem.

Link do komentarza
Share on other sites

Zarejestruj się lub zaloguj, aby ukryć tę reklamę.
Zarejestruj się lub zaloguj, aby ukryć tę reklamę.

jlcpcb.jpg

jlcpcb.jpg

Produkcja i montaż PCB - wybierz sprawdzone PCBWay!
   • Darmowe płytki dla studentów i projektów non-profit
   • Tylko 5$ za 10 prototypów PCB w 24 godziny
   • Usługa projektowania PCB na zlecenie
   • Montaż PCB od 30$ + bezpłatna dostawa i szablony
   • Darmowe narzędzie do podglądu plików Gerber
Zobacz również » Film z fabryki PCBWay

Co do kursów to w Elektronice Praktycznej od dłuższego czasu jest kurs poświęcony logice programowalnej, konkretnie układom FPGA. Nie musisz znać idealnie fizyki półprzewodników. Do zaprania się za PLD wystarczy dobra znajomość układów cyfrowych, czyli co to bramka, multiplekser, przerzutnik.

Układy PLD są różne, poniżej podstawowy podział:

GAL - proste układy wyposażone w programowalną macierz AND i kilka - klikanaście komórek I/O

CPLD - układy średnio skomplikowane, posiadają programowalną macierz logiczną, bufory I/O oraz komórki wyposażone w przerzutniki. Dla rodziny XC95xx w liczbie od 36 (XC9536XL) do 288 (XC95288XL).

FPGA - najbardziej złożone układy programowalne. Mają inną konstrukcję niż CPLD czy GAL. Składają się z tak zwanych slice'ów. W slice znajduje się LUT, czyli niewielka programowalna macierz dla funkcji kombinacyjnych oraz przerzutnik i logika sterująca + globalne sygnały zegarowe i resetujące. Na tym można już poszaleć.

W czym się tworzy ? To zależy układy którego producenta wybierzesz. Xilinx udostępnia darmowy ISE Web Pack, Altera daje Quartusa II, a Actel środowisko Libero. Wszystkie te środowiska wyposażone są w edytor kodu, symulator logiczny oraz syntezer i 'implementator'.

O co tu chodzi ? Otóż kod tworzy się w języku zbliżonym do języka programowania. Obecnie dwa najpopularniejsze to VHDL i Verilog (do obu można znaleźć manuale w sieci wpisując w google 'VHDL manual' lub 'Verilog manual'). Nie należy mylić tego z językami programowania. Tutaj tak naprawdę opisujemy działanie sprzętu, czyli na przykład "jeśli sygnał A ma ma wartość logiczną '1' i jest narastające zbocze zegara, to na wyjściu B wpisz '1' ".

A co to jest syntezer? Otóż Syntezer to program, którego zadaniem jest zamiana naszej radosnej twórczości na układ zbudowany na bramkach, przerzutnikach i multiplekserach. Proste, nie ? :] Oczywiście nie wszystko, co stworzymy w języku HDL (ogólna nazwa języków opisu sprzętu) i co przejdzie przez kompilację zostanie zsyntetyzowane. Są pewne ograniczenia wynikające ze specyfiki sprzętu. Nie da się, na przykład zsyntetyzować działania potęgowania lub dzielenia ( o ile to nie jest dzielenie przez potęgę 2).

Po co są instrukcje niesyntezowalne ? Odpowiedź jest prosta, do symulacji. W kodzie HDL, bowiem możesz umieścić swój układ jako komponent i zadać mu pobudzenia, a wyniki symulacji wyświetlić na ekranie i/lub zapisać do pliku. Taki kod testujący nazywa się "testbench" i jest bardzo użytecznym narzędziem.

A co to jest 'implementator' ? Nazwa jest moim radosnym neologizmem, po prostu wolę napisać 'implementator' niż 'narzędzie do implementacji' 😋 Jest to program, którego zadaniem jest taka przeróbka układu wymyślonego przez syntezer, aby dał się upakować w posiadany przez nas układ PLD. Oczywiście, mimo jego wysiłków może się zdarzyć to, że układ zwyczajnie nie zmieści się do naszej kostki. Otrzymamy wówczas stosowny komunikat.

Co na początek? Polecam serię CPLD Xilinxa XC95xx. Są tanie i łatwo dostępne. Jeśli chcesz poszaleć to pozostaje zakup płytki z FPGA, ale to wydatek rzędu 400 - 1000 zł. za taką tańszą. Poniżej rewelacyjny manual do VHDLa.

vhdl_ref.pdf

Link do komentarza
Share on other sites

Dołącz do dyskusji, napisz odpowiedź!

Jeśli masz już konto to zaloguj się teraz, aby opublikować wiadomość jako Ty. Możesz też napisać teraz i zarejestrować się później.
Uwaga: wgrywanie zdjęć i załączników dostępne jest po zalogowaniu!

Anonim
Dołącz do dyskusji! Kliknij i zacznij pisać...

×   Wklejony jako tekst z formatowaniem.   Przywróć formatowanie

  Dozwolonych jest tylko 75 emoji.

×   Twój link będzie automatycznie osadzony.   Wyświetlać jako link

×   Twoja poprzednia zawartość została przywrócona.   Wyczyść edytor

×   Nie możesz wkleić zdjęć bezpośrednio. Prześlij lub wstaw obrazy z adresu URL.

×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.