Skocz do zawartości

Ceny płytek rozwojowych Intel/Altera


raguel

Pomocna odpowiedź

Cześć,

pozwolę sobie napisać w temacie nie stricto-technicznym, jednak zupełnie dla mnie niezrozumiałym, może ktoś otworzy mi umysł, a mianowicie: ceny. W 2019 drogą kupna, w jednym z polskich e-sklepów, nabyłem płytkę rozwojową z układem Altera (dziś Intel) Cyclone IV EP4CE6, celem przypomnienia sobie po 10 latach przerwy obsługi Quartusa. Wiadomo, jest to najprostszy oferowany jeszcze przez Intela układ FPGA z 6k elementów logicznych, format TQFP. Płyta produkcji Waveshare, bez kości SDRAM, sama macierz plus oscylator, pamięć flash i regulatory napięć do zasilania logiki i bloków IO, nic specjalnego, niezbędne minimum (żeby uruchomić Niosa, spiąć z kontrolerem PWM w hardwarze, pokręcić silniczkiem albo zrobić sobie koprocesor FIR wystarczy). Koszt (wtedy): 84 polskie złote (luty 2019). Myślę że za tę kwotę było warto, a co się pobawiłem to moje. W tzw. międzyczasie pracowałem na poważniejszych macierzach, natomiast dziś przez przypadek natrafiłem na tę samą płytkę, w tym samym sklepie: 1550 zł.

O co chodzi? Ja rozumiem, że kryzys na rynku półprzewodników, że FPGA z reguły są dosyć dużymi układami (w sensie powierzchni krzemu), wymagającymi skomplikowanej kontroli jakości, przez co są relatywnie drogie. Ale przebitka 2000%? Czy to jakaś spekulacja, wynikająca np. z tego że Intel nie produkuje już układów generacji 4-tej i to co można jeszcze spotkać to końcówki? Dziwne, bo na aliexpres bez problemu można jeszcze w cenie ok 300 zł dostać dużo bardziej rozbudowane płyty z tym samym układem (dodatkowo pamięć SDRAM, wyjścia VGA) oraz programatorem, a za około 500 zł można dostać płyty rozwojowe z dużo poważniejszymi macierzami zarówno Altery aka Intela lub Xilinxa, w tym rodziny Zynq-7000 (oczywiście zachowując huraoptymizm w nastawieniu do dokumentacji i schematów w j. chińskim, o ile jakieś będą). Nie sądzę też żeby wójek Sam zabronił lub miał w najbliższej przyszłości zabronić eksportu najwolniejszych, najmniejszych, wykonanych w archicznej litografii 60nm, macierzy logicznych do państwa środka.

Czy ktoś wie skąd się wzięło to 2000%?

Szczerze nie zakładałbym jakiegokolwiek popytu na układy o tych możliwościach w tej cenie dlatego sytuacja mnie po prostu mega dziwi.

Pozdrawiam,

Marcin

  • Lubię! 1
Link do komentarza
Share on other sites

4 minuty temu, raguel napisał:

Czy ktoś wie skąd się wzięło to 2000%?

A może to jest taka jak bywa na Allegro - sprzedawca podnosi znacznie cenę, gdy nie ma towaru na stanie, bo nie chce pokazywać, że go nie ma. Jak możesz to wklej linka do tego sklepu 😉

Link do komentarza
Share on other sites

(edytowany)

No właśnie gdyby było to allegro to by mnie tak nie dziwiło...

https://botland.com.pl/moduly-i-zestawy-fpga/13149-altera-cyclone-iv-ep4ce6-plytka-rozwojowa-fpga-waveshare-6483-5904422320294.html

fpga.png

EDIT: nie chcę tu w żaden sposób hejtować botlandu, ich ceny nie odbiegają znacząco od innych sklepów, w tym tych które za które oferują te płytki w chinach, wzrost cen zauważalny jest powszechnie:

 

fpga2.png

https://elty.pl/pl/p/CoreEP4CE6-plyta-z-ALTERA-Cyclone-IV-EP4CE6E22C8N/899

Edytowano przez raguel
  • Lubię! 1
Link do komentarza
Share on other sites

18 minut temu, raguel napisał:

EDIT: nie chcę tu w żaden sposób hejtować botlandu, ich ceny nie odbiegają znacząco od innych sklepów, w tym tych które za które oferują te płytki w chinach, wzrost cen zauważalny jest powszechnie:

@raguel aby nie tworzyć żadnych własnych teorii zapytałem u źródła. Cena została już obniżona, ale dlaczego była tam taka cena to (jeszcze) nie wiem. Pewnie spirala podwyżek u producentów FPGA, producentów płytek i dostawców. Dam znać, jeśli dowiem się coś więcej 🙂 

Link do komentarza
Share on other sites

Zarejestruj się lub zaloguj, aby ukryć tę reklamę.
Zarejestruj się lub zaloguj, aby ukryć tę reklamę.

jlcpcb.jpg

jlcpcb.jpg

Produkcja i montaż PCB - wybierz sprawdzone PCBWay!
   • Darmowe płytki dla studentów i projektów non-profit
   • Tylko 5$ za 10 prototypów PCB w 24 godziny
   • Usługa projektowania PCB na zlecenie
   • Montaż PCB od 30$ + bezpłatna dostawa i szablony
   • Darmowe narzędzie do podglądu plików Gerber
Zobacz również » Film z fabryki PCBWay

25 minut temu, raguel napisał:

EDIT: nie chcę tu w żaden sposób hejtować botlandu, ich ceny nie odbiegają znacząco od innych sklepów, w tym tych które za które oferują te płytki w chinach, wzrost cen zauważalny jest powszechnie:

Akurat w tej cenie jest też koszt obsługi klienta 😉 A obsługa na Botlandzie to majstersztyk, co potwierdzi wiele osób, które korzystały z działu reklamacji.

Ogólnie FPGA od wujka Sam'a sporo poszło do góry - niezależnie od modelu układu. Chińskie jeszcze trzymają racjonalne wartości, ale to też pewnie kwestia czasu aż podrożeją...

Nawet durne tranzystory, które kupowałem po 1-2gr poszły do 10-20gr w Polsce... Dobrze, że mam jeszcze fajny sklep z leżakami magazynowymi 😄 

Przed chwilą, Treker napisał:

Cena została już obniżona, ale dlaczego była tam taka cena to (jeszcze) nie wiem.

Patrząc po tym, że usunęli jedynkę z przodu to pewnie ktoś niechcący walnął literówkę wpisując wartość. Na klawiaturze numerycznej to dość typowe 😉 No i kwestia spirali podwyżek też wchodzi w grę... Bo nawet na LCSC spirala pokazała swoje ząbki i stare układy były patologicznie drogie. Teraz już się jako-tako unormowało.

  • Lubię! 1
Link do komentarza
Share on other sites

Witam dyskutujących w tym wątku:

to pytanie z pierwszego postu utwierdza mnie w przekonaniu, że jest miejsce na rynku na dobrze zaprojektowane zestawy uruchomieniowe FPGA oferowane jako open-hardware. I właśnie cena zestawu powinna być jednym z głównych parametrów projektowanego zestawu. Nadal mam zamiar zaprojektować kilka modeli zestawów FPGA opartych na prostszych układach FPGA firmy "Gowin Semi." - układy te nadal mają dość sensowne ceny i są dostępne w sprzedaży. Nie zawsze wielka liczba zasobów układów FPGA jest potrzebna do nauki (szczególnie, że nawet najprostsze serie FPGA firmy Gowin mają teraz ileś par szybkich łączy róznicowych). Niedługo mam zamiar powrócić do temetu projektu płytki PCB dla takiego "średniego" zestawu FPGA - około 9K LUT.

Pozdrawiam

 

  • Lubię! 1
Link do komentarza
Share on other sites

Z tego co się orientuję, FPGA to ogólnie dość drogie zabawki 🙂

A jak chodzi o wybór układu, to chyba warto najpierw pomyśleć co się chce z nim zrobić, albo czego się nauczyć. Ja ostatnio bawię się HLS Xilinxa i bardzo mi się to podoba. Ale pewnie układ z 9K lut do takich zastosowań nie wystarczy.

  • Lubię! 1
Link do komentarza
Share on other sites

20 godzin temu, Elvis napisał:

Z tego co się orientuję, FPGA to ogólnie dość drogie zabawki 🙂

A jak chodzi o wybór układu, to chyba warto najpierw pomyśleć co się chce z nim zrobić, albo czego się nauczyć. Ja ostatnio bawię się HLS Xilinxa i bardzo mi się to podoba. Ale pewnie układ z 9K lut do takich zastosowań nie wystarczy.

Cześć @Elvis

ja też byłem pod wrażeniem używania HLS (ćwiczyłem to razem z programowaniem SoC Zynq-7000 i magistaralą AXI jakiś czas temu). Programowanie FPGA z użyciem języka wysokiego poziomu (HLS) jak C++ jest bardzo kuszące. Niestety te wszystkie "fajne ficzery" to na razie domena gigantów: Xilinx i Intel, a ich układy są drogie i trudno dostępne. Tak jak napisałem wcześniej na razie chcę się skoncentrować na układach FPGA firmy Gowin do projektu zestawu uruchomieniowego z powodów:

1) Układy mają sensowne ceny i są dostępne na rynku

2) Układy FPGA zawierają wszystkie moduły/bloki użytkowe wystarczające na implementację większości projektów FPGA

3) Oprogramowanie do syntezy "Gowin EDA" jest dobrej jakości i darmowe

4) Rozwija się projekt "Apicula" z softem do syntezy ukłdaów FPGA Gowin całkowicie open-source

5) Dostępna jest duża liczba darmowych i przydatnych IP Cores firmy Gowin z dobrym opisem i przykładami kodu HDL

6) Dokumentacja układów FPGA firmy Gowin jest dostatecznie szczegółowa i dobrej jakości

Planuję zaprojektować (a właściwie już zacząłem) zaprojektować trzy zestawy FPGA:

1) zestaw z 1K do 2K LUT do naukl podstaw FPGA (podobne właściwości jak Elbert V.2) - główny nacisk położony na jak najniższą cenę zestawu

2) zestaw z 4K do 9 K LUT - do testowania prostszych Soft-Cores (MCU jako IP Core)

3) zestaw z 20K do 40K LUT z zewnętrzną pamięcią DDR4 - do testowania bardziej złożonych projektów Soft-Cores

Dodatkowo najprostszy zestaw ma mieć interfejs D-Sub (VGA) a bardziej skomplikowane wyjścia HDMI i wejścia MIPI (np. do kamery) i kanały komunikacyjne różnicowe (np. LVDS) o dużej prędkości.

Pozdrawiam

 

 

 

Link do komentarza
Share on other sites

2 godziny temu, FlyingDutch napisał:

Planuję zaprojektować (a właściwie już zacząłem) zaprojektować trzy zestawy FPGA:

1) zestaw z 1K do 2K LUT do naukl podstaw FPGA (podobne właściwości jak Elbert V.2) - główny nacisk położony na jak najniższą cenę zestawu

2) zestaw z 4K do 9 K LUT - do testowania prostszych Soft-Cores (MCU jako IP Core)

3) zestaw z 20K do 40K LUT z zewnętrzną pamięcią DDR4 - do testowania bardziej złożonych projektów Soft-Cores

Dodatkowo najprostszy zestaw ma mieć interfejs D-Sub (VGA) a bardziej skomplikowane wyjścia HDMI i wejścia MIPI (np. do kamery) i kanały komunikacyjne różnicowe (np. LVDS) o dużej prędkości.

 

Jeśli mogę coś podpowiedzieć:

Ad. 1). Układy tego typu nadają się głownie do programowej implementacji logiki RTL, a jeśli widzieć w nich jakikolwiek potencjał dla złącza D-Sub do co najwyżej uda się zbudować generator sygnału testowego i jeśli różnica w cenie pomiędzy opcją 1) a 2) nie byłaby kolosalna to na pewno jako zestaw do nauki (czyli bez wyraźnego celu wykorzystania) wybrałbym opcję 2) ale...

Ad. 2) Przydałaby się jakokolwiek pamięć poza tą którą oferuje sam układ w blokach RAM, choćby jako opcja którą można dodać jako dodatkowy moduł. Nawet 16 MB archaicznego SDRAM'u otwiera całe okno nowych możliwości: od wyświetlania obrazu z pamięci na D-Sub/HDMI po (w skrajnym przypadku) Linuxa uruchamianego na soft corze RISC-V.

W moim przypadku to właśnie brak dodatkowej pamięci (chociażby po to żeby zbuforować obraz z prostej kamerki VGA, albo wyświetlić zawartość buforu w pamięci na wyjściu D-Sub) zdyskwalifkowało takie płytki jak Elbert czy Maximator. Ciężko mi wyobrazić sobie przekonanie kogokolwiek do uczenia się VHDL'a czy tam Veriloga po to żeby pomigać sobie diodką albo wyświetlić cyferkę na wyświetlaczu 7-segmentowym, gdy pierwszy lepszy mikrokontroler zrobi dokładnie to samo w 3 linjkach kodu C, ale zastrzegam że to moja subiektywna ocena.

Ewentualnie unikalnym pomysłem byłaby płytka posiadająca ADC/DAC średniej szybkości, wtedy możnaby przygotować ciekawe przykłady wykorzystania FPGA jako układu DSP dla torów audio (tu nawet kilkadziesiąt kb wbudowanego RAM'u by wystarczyło), a to otwiera możliwości wprowadzenia w implementację filtrów FIR, IIR, kaskadowych, a nawet FFT, których działanie można zweryfikować w praktyce podłączając głośnik lub słuchawki...

Pozdrawiam

  • Lubię! 1
  • Pomogłeś! 1
Link do komentarza
Share on other sites

1 godzinę temu, Elvis napisał:

Czy dla układów Gowin dostępny jest symulator?

Cześć @Elvis

dla Verilog'a można używać darmowego symulatora "Icarus Verilog" (lub darmowej wersji ModelSIM) - podobno pojawiło się wiele nowych modeli w Verilog'u dla układów Gowin'a pozwalających symulować te układy w zewnętrznych symulatorach, ale nie testowałem tego jeszcze.

Poza tym, cokolwiek to znaczy:

https://www.gowinsemi.com/en/about/detail/latest_news/77/

Pozdrawiam

Link do komentarza
Share on other sites

1 godzinę temu, raguel napisał:

 

Jeśli mogę coś podpowiedzieć:

Ad. 1). Układy tego typu nadają się głownie do programowej implementacji logiki RTL, a jeśli widzieć w nich jakikolwiek potencjał dla złącza D-Sub do co najwyżej uda się zbudować generator sygnału testowego i jeśli różnica w cenie pomiędzy opcją 1) a 2) nie byłaby kolosalna to na pewno jako zestaw do nauki (czyli bez wyraźnego celu wykorzystania) wybrałbym opcję 2) ale...

Ad. 2) Przydałaby się jakokolwiek pamięć poza tą którą oferuje sam układ w blokach RAM, choćby jako opcja którą można dodać jako dodatkowy moduł. Nawet 16 MB archaicznego SDRAM'u otwiera całe okno nowych możliwości: od wyświetlania obrazu z pamięci na D-Sub/HDMI po (w skrajnym przypadku) Linuxa uruchamianego na soft corze RISC-V.

W moim przypadku to właśnie brak dodatkowej pamięci (chociażby po to żeby zbuforować obraz z prostej kamerki VGA, albo wyświetlić zawartość buforu w pamięci na wyjściu D-Sub) zdyskwalifkowało takie płytki jak Elbert czy Maximator. Ciężko mi wyobrazić sobie przekonanie kogokolwiek do uczenia się VHDL'a czy tam Veriloga po to żeby pomigać sobie diodką albo wyświetlić cyferkę na wyświetlaczu 7-segmentowym, gdy pierwszy lepszy mikrokontroler zrobi dokładnie to samo w 3 linjkach kodu C, ale zastrzegam że to moja subiektywna ocena.

Ewentualnie unikalnym pomysłem byłaby płytka posiadająca ADC/DAC średniej szybkości, wtedy możnaby przygotować ciekawe przykłady wykorzystania FPGA jako układu DSP dla torów audio (tu nawet kilkadziesiąt kb wbudowanego RAM'u by wystarczyło), a to otwiera możliwości wprowadzenia w implementację filtrów FIR, IIR, kaskadowych, a nawet FFT, których działanie można zweryfikować w praktyce podłączając głośnik lub słuchawki...

Pozdrawiam

Cześć @raguel

z problemem braku pamięci już się zderzyłem (też próby z prostą kamerka VGA) - zgadzam się w pełni z twoją oceną. Myślę o tym, żeby w projektowanej płytce FPGA umieścić pamieć SRAM - ponieważ interfejs do takiej pamięci jest dużo prostszy od DRAM.

Pomysł z przetwornikiem jest bardzo dobry (też o nim myślałem) i w średnim/duzym modelu pewnie dodam ADC i DAC I2S.

Dziękuję za podzielenie się przemyśleniami na temat płytki FPGA.

Pozdrawiam

 

Link do komentarza
Share on other sites

Witam.

Proponuję poszukać w sklepie "mikros" 

Tam można kupić części w sensownej cenie.

Trochę zdolności manualnych, narzędzia tani mikroskop i można takie zestawy robić samemu. 

Link do komentarza
Share on other sites

26 minut temu, kroszkanorber napisał:

tani mikroskop

Uhm... Po co komu mikroskop przy lutowaniu BGA? 😄 Bo większość sensownych układów FPGA jest w tych obudowach...

Link do komentarza
Share on other sites

43 minuty temu, H1M4W4R1 napisał:

Uhm... Po co komu mikroskop przy lutowaniu BGA? 😄 Bo większość sensownych układów FPGA jest w tych obudowach...

BGA można lutować hotair. Trzeba najpierw poćwiczyć na złomie i zrobić testy na tanich układach. Mikroskop się przydaje. ( BGA nic nie widać tylko ewentualnie zewnętrzne pady ) . Pomaga przy lutowaniu SMD. Można lepiej ocenić wizualnie jak spoiwo lutownicze się łączy z elementami na płytce. BGA to ogólnie trudny temat ale nie jest niemożliwy do ogarnięcia

 

  • Lubię! 1
Link do komentarza
Share on other sites

Dołącz do dyskusji, napisz odpowiedź!

Jeśli masz już konto to zaloguj się teraz, aby opublikować wiadomość jako Ty. Możesz też napisać teraz i zarejestrować się później.
Uwaga: wgrywanie zdjęć i załączników dostępne jest po zalogowaniu!

Anonim
Dołącz do dyskusji! Kliknij i zacznij pisać...

×   Wklejony jako tekst z formatowaniem.   Przywróć formatowanie

  Dozwolonych jest tylko 75 emoji.

×   Twój link będzie automatycznie osadzony.   Wyświetlać jako link

×   Twoja poprzednia zawartość została przywrócona.   Wyczyść edytor

×   Nie możesz wkleić zdjęć bezpośrednio. Prześlij lub wstaw obrazy z adresu URL.

×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.