Skocz do zawartości

Kurs FPGA - #4 - pierwszy projekt, przykład VHDL


Komentator

Pomocna odpowiedź

Zarejestruj się lub zaloguj, aby ukryć tę reklamę.
Zarejestruj się lub zaloguj, aby ukryć tę reklamę.

jlcpcb.jpg

jlcpcb.jpg

Produkcja i montaż PCB - wybierz sprawdzone PCBWay!
   • Darmowe płytki dla studentów i projektów non-profit
   • Tylko 5$ za 10 prototypów PCB w 24 godziny
   • Usługa projektowania PCB na zlecenie
   • Montaż PCB od 30$ + bezpłatna dostawa i szablony
   • Darmowe narzędzie do podglądu plików Gerber
Zobacz również » Film z fabryki PCBWay

Witajcie,

mam mały problem w trakcie konfigurowania środowiska. W momencie generowania plików otrzymuję synthesis - XST failed. Problem występował wielokrotnie czy tu na forum czy w internecie. Niestety nic nie pomogło. Pliki podmieniałem. Pobierałem od nowa i instalowałem na nowo, plik ucf również wymieniałem. Dodam, że akcja ma miejscu na windowsie 7.

Załączam widok z konsoli programu.

 

Ma ktoś może jakieś pomysły o co może chodzić?

Screenshot 2021-09-13 at 10.39.51.png

Screenshot 2021-09-13 at 10.40.00.png

Link do komentarza
Share on other sites

Witam.

Po zainstalowaniu środowiska ISE , uruchomiłem pierwszy projekt i znacząco rożni się on od tego który jest w opisie kursu mimo że środowisko ISE jest w tej samej czyli w wersji 14,7.     - pierwsza wątpliwość : zgodnie z opisem w kursie, komentarz zaczyna się od dwóch znaków minus tymczasem w moim projekcie to wygląda zupełnie inaczej - jak na zrzucie poniżej :

1379470175_zrzutekranufragmentkursu.thumb.jpg.98bf58852eebf2ebbc319ddbf054b7d8.jpg

Możliwe ze to tylko kwestia ustawienia czcionek w program ale na kursie takie szczegóły winny by wyjaśnione na początku.

Druga moja wątpliwość to w moim, nowo wygenerowanym pliku projektu nie ma bibliotek - inaczej niż w opisie kursu - czy to błąd czy normalne działanie środowiska ISE i należy to zignorować ? Dodam że w DESIGN SUMMARY stoi: NO ERRORS

Widok mojego wygenerowanego projektu.

108003949_zrzutekranucaegoprojektu.thumb.jpg.83535896e4808746b70f58b7ead410ae.jpg

a tak widnieje w kursie :

1988065594_zrzutekranuzkursubiblioteki.thumb.jpg.0536ba3c05475a9ce22130c7124961d2.jpg

Na dodatek w kursie VHDL nie ma informacji JAK dodawać komentarze , JAK usuwać komentarze czy też jak je pominąć - co jest zasugerowane w kursie - można je pominąć - co to znaczy ze ¨można je pominąć? to znaczy skasować? ale jak to zrobi by nie naruszyć integralności projektu?

nie ma w kursie jakiegokolwiek opisu jak poruszać się w środowisku w którym jest już nowy projekt. To tak jak by tłumaczyć przepisy ruchu drogowego osobie której nie wytłumaczono jakie znaczenie maj jakie kolory sygnalizacji świetlnej ... 

Link do komentarza
Share on other sites

18 minut temu, wieniek04 napisał:

Witam.

Po zainstalowaniu środowiska ISE , uruchomiłem pierwszy projekt i znacząco rożni się on od tego który jest w opisie kursu mimo że środowisko ISE jest w tej samej czyli w wersji 14,7.     - pierwsza wątpliwość : zgodnie z opisem w kursie, komentarz zaczyna się od dwóch znaków minus tymczasem w moim projekcie to wygląda zupełnie inaczej - jak na zrzucie poniżej :

1379470175_zrzutekranufragmentkursu.thumb.jpg.98bf58852eebf2ebbc319ddbf054b7d8.jpg

Możliwe ze to tylko kwestia ustawienia czcionek w program ale na kursie takie szczegóły winny by wyjaśnione na początku.

Druga moja wątpliwość to w moim, nowo wygenerowanym pliku projektu nie ma bibliotek - inaczej niż w opisie kursu - czy to błąd czy normalne działanie środowiska ISE i należy to zignorować ? Dodam że w DESIGN SUMMARY stoi: NO ERRORS

Widok mojego wygenerowanego projektu.

108003949_zrzutekranucaegoprojektu.thumb.jpg.83535896e4808746b70f58b7ead410ae.jpg

a tak widnieje w kursie :

1988065594_zrzutekranuzkursubiblioteki.thumb.jpg.0536ba3c05475a9ce22130c7124961d2.jpg

Na dodatek w kursie VHDL nie ma informacji JAK dodawać komentarze , JAK usuwać komentarze czy też jak je pominąć - co jest zasugerowane w kursie - można je pominąć - co to znaczy ze ¨można je pominąć? to znaczy skasować? ale jak to zrobi by nie naruszyć integralności projektu?

nie ma w kursie jakiegokolwiek opisu jak poruszać się w środowisku w którym jest już nowy projekt. To tak jak by tłumaczyć przepisy ruchu drogowego osobie której nie wytłumaczono jakie znaczenie maj jakie kolory sygnalizacji świetlnej ... 

Cześć @wieniek04,

twoje problemy wynikają z tego, że jako domyślny język projektu wybrałeś Verilog, a nie VHDL jak powinieneś. W Verilogu nie ma pojęcia biblioteki (dlatego nie zostały ustawione domyślne). Znaki "--" nie są komentarzem w Verilogu tylko np. "//". Możesz to zmienić - kliknij prawym klawiszem myszki na okienku z projektem (wybierz: Properties) i zmień preferowany język na VHDL. Wtedy wszystko o czym piszesz będzie OK 😉

BTW: skąd wiem ,że wybrałeś Verilog - otóż  zamiast "entity pierwszy_modul_z_Kursu" masz "module pierwszy_modul_z_Kursu" co jest ewidentnie słowem kluczowym z języka Verilog.

Pozdrawiam

Edytowano przez FlyingDutch
update
  • Lubię! 1
Link do komentarza
Share on other sites

23 minuty temu, wieniek04 napisał:

Witam.

..

nie ma w kursie jakiegokolwiek opisu jak poruszać się w środowisku w którym jest już nowy projekt. To tak jak by tłumaczyć przepisy ruchu drogowego osobie której nie wytłumaczono jakie znaczenie maj jakie kolory sygnalizacji świetlnej ... 

Cześć,

odnośnie środowiska "Xilinx ISE 14.7" można takie informacje znaleźć np. na forum użytkowników firmy Xilinx (teraz AMD). To środowisko ( "ISE") wbrew pozorom jest dużo bardziej skomplikowane, niż się wydaje na początku. Opis tego środowiska i tutorial jak się w nim poruszać, po prostu byłby za obszerny, na ramy takiego kursu. Przy poznawaniu jakiegoś nowego zagadnienia trzeba brać pod uwagę, że będzie to wymagało od nas trochę wysiłku (szczególnie tematy dotyczące układów programowalnych są dość trudne).

Pozdrawiam

Link do komentarza
Share on other sites

12 minut temu, wieniek04 napisał:

Dobry wieczór.

Czy sugestia albo polecenie --Uncoment   czyli od komentować oznacza że fizycznie należy usunąć z tablicy komentarz czy należy wykonać jeszcze jakąś dodatkową operację czynność ? 

Cześć,

najlepiej sprawdzić samemu: zaznacz linię/linie kodu i wydaj polecenie "Comment" a następnie "Uncoment" (na tych samych liniach) i zobacz, co się stanie.

Pozdrawiam

Link do komentarza
Share on other sites

Dzień dobry.

 

Wszystko działa jak należy.

2.thumb.png.bfe60135c375afa57d397458f13b041a.png

a potem 

1.thumb.png.47e856f1186af5dc728d5219a4e4eb6a.png

Wykonałem wszystko zgodnie z opisem w #4 części kursu i za którymś tam razem operacja GENERATE PROGRAMMING FILE zakończyła się powodzeniem czyli diody LED mrugają i nawet nie uszkodziłem Elbert-a  🙂  ...

Zmieniając wartość parametru jak na rysunku :

3.thumb.png.97aec5206bf5a077133b54243bcc68da.png

wpływam na częstotliwość mrugania LED-ami. 

 

 

 

 

  • Lubię! 2
Link do komentarza
Share on other sites

@wieniek04 fajnie że zabrałeś się za ten temat, FPGA to ciężka sprawa, frustrująca ale i satysfakcjonująca. Ostatnio sam odkrywam na nowo, może nie VHDL ale Verilog i widzę, że najlepiej rzucić wszystko, zająć się tylko tym, to uda się jakoś ten temat zgłębić 😅

21 godzin temu, wieniek04 napisał:

wpływam na częstotliwość mrugania LED-ami. 

Zgadza się, to co wskazałeś to zmienna, która po syntezie tworzy rejestr z którym porównywana jest aktualna wartość przerzutników w liczniku. Gdy komparator wskaże że licznik doliczył do tej wartości to zmienia się wyjście układu. Czyli im mniejsza liczba, tym częściej się zmienia.

  • Lubię! 1
Link do komentarza
Share on other sites

Dołącz do dyskusji, napisz odpowiedź!

Jeśli masz już konto to zaloguj się teraz, aby opublikować wiadomość jako Ty. Możesz też napisać teraz i zarejestrować się później.
Uwaga: wgrywanie zdjęć i załączników dostępne jest po zalogowaniu!

Anonim
Dołącz do dyskusji! Kliknij i zacznij pisać...

×   Wklejony jako tekst z formatowaniem.   Przywróć formatowanie

  Dozwolonych jest tylko 75 emoji.

×   Twój link będzie automatycznie osadzony.   Wyświetlać jako link

×   Twoja poprzednia zawartość została przywrócona.   Wyczyść edytor

×   Nie możesz wkleić zdjęć bezpośrednio. Prześlij lub wstaw obrazy z adresu URL.

×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.