Skocz do zawartości

Samodzielne ćwiczenia na Elbert v2 - efekty kursu FPGA


Treker

Pomocna odpowiedź

Cześć @dobdar,

bardzo fajny pomysł i wykonanie 😀

Pozdrawiam

BTW: Szkoda tylko, że amatorsko nie da się przylutować FPGA w obudowach BGA 😉 Myślałem, żeby samemu zaprojektować PCB dla zestawu uruchomieniowego z jakimś większym Artix'em 7 i pamięcią DDR, ale jak się policzy wykonanie płytki PCB i montaż w zewnętrznej firmie, to wychodzi, że lepiej taki zestaw kupić w chińskim sklepie.

Edytowano przez FlyingDutch
Link do komentarza
Share on other sites

@FlyingDutch  Hej ,a ja właśnie kolejny projekt zamierzam zrobić na XC3S200ANFTG256-5C/4I.  Pięć płytek za $2 to nie jest na tyle drogo, aby nie zaryzykować nieudanego experymentu. Lutowanie - cóż sprobuję zrobić samodzielnie na stacji BGA którą kiedyś nabyłem do zupełnie innego projektu. Maski do aplikacji pasty lutowniczej można zamówić razem z płytką  w JLCPCB za dodatkowe $9 jeśli mnie pamięć nie myli. XC3S200AN zawiera już wewnątrz pamiątkę programu i kosztuje 19 zł na aliexpresie. Pytanie tylko: czy uda się ta sztuka na 2 layerach czy trzeba będzie zrobić 4... Poza tym to tylko dla sztuki bo z chinolami nie ma co walczyć 😄 cenowo. Artixy wymagają dużo większej wiedzy ze strony projektanta bo tam mają już znaczenie np długości ścieżek, zagęszczenie elementów na płytce(wielkości 0201 nie podejmuję się ręcznie lutować) i nie da się tego zaprojektować zabawką typu easyEDA, a na profesjonalny program mnie po prostu nie stać ... 

Edytowano przez dobdar
  • Lubię! 1
Link do komentarza
Share on other sites

Witam wszystkich.

Tak niedawno wykonując porządki na święta pomyślałem że wymiennie stary mojej już dawnej konstrukcji sterownik LED-ów do gwiazdy świątecznej które po upływie 17lat działały jeszcze sprawnie. Przyszło mi do głowy że zamiast tych użytych ttl, cmos i innych analogów można to zastąpić układem FPGA. 

Ale od początku, gwiazda pracowała w taki sposób:vhd01.gif.17642d95cad0812f8aa11369a9eb0f0a.gif całość: v1_bck01r.thumb.jpg.53e0eb077f0bc1e761fea548b4f83fc9.jpg ->v1_bck02r.thumb.jpg.3b894ec8a4f715c9310a9cc4977580da.jpg-> i po rozebraniu: v1_bck03r.thumb.jpg.169646d0f3fb2348659857b4cae6e09f.jpg v1_bck04r.thumb.jpg.7e8f5d6377f92fe18f4c58411ccac469.jpg jak widać dla tak prostego projektu użyto tu dość dużo scalaków: główne źródło zegar astabilny na NE555, licznik dziesiętny  ttl: 74LS192 liczący od 0 do 5, kombinacja bramek cmos NAND: CD4011 przekształcająca sygnał BCD na 1z6, dalej układy bramek ExOR: CD4030 pozwalały na zmianę ilości świecących diod w zależności od konfiguracji zworki która decydowała czy ma się pojawić świecenie 1 diody czy zanegowany wariant pozostałych LED oprócz jednej. Dodatkowo wzmacniacz operacyjny TL072 generował sygnał podobny do sinusa z dodatkową stałą DC co pozwalało na cykliczne zmiany jasności świecenia LED-ów. Ostatnie wyjściowe tranzystory typu BC945C (NPN) pracowały w układzie nietypowym wspólnego kolektora (pewnie chodziło tylko o wzmocnienie prądowe na wyjściu). Nie mam już żadnego schematu tego co zrobiłem. A widoczne połączenia na PCB z dolutowanymi kabelkami pod spodem wygląda co najmniej "koszmarnie". Działało to tak:

vhd02.gif.601bb497e8d64e40c746959d8e6bce30.gifvhd03.gif.2ebd6d762327bac55a807c5baeaeb239.gifvhd04.gif.0928e1f94ccc0519b3c22926f822d16c.gif .

Po bardzo dobrym kursie FPGA z modułem ElbertV2 na tutejszej stronie :kursFPGA spróbowałem wprowadzić podobny pomysł do przedniego na wspomnianą płytkę testową, oto kod oparty jakby nie inaczej na rozdziale #6 – rejestry przesuwne w VHDL, dodano możliwość zmiany kierunku, regulacji szybkości zmian oraz ilości święcących LED (1 lub pozostałe):

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity Led_controll001 is
	Port(Clk: in STD_LOGIC;
		  Switch: in STD_LOGIC_VECTOR(5 downto 0);
		  LED: out STD_LOGIC_VECTOR(7 downto 0));
end Led_controll001;

architecture Behavioral of Led_controll001 is
	signal register001 : STD_LOGIC_VECTOR(7 downto 0) :=x"01";
	--constant COUNTER_LIMIT : integer:= 12000000;
	signal counter001 : STD_LOGIC_VECTOR(48 downto 0);
	signal LED_out     : std_logic_vector(7 downto 0)   := x"05";
  begin
		count_and_move : process(Clk)
			variable COUNTER_LIMIT : integer :=12000000;
			begin
				if rising_edge(Clk) then
					if(counter001 > COUNTER_LIMIT) then
						counter001 <= (others => '0');
						if (Switch(0) = '0' and Switch(1) = '0') then
							COUNTER_LIMIT := 12000000;							
						elsif (Switch(0) = '0') then
							COUNTER_LIMIT := COUNTER_LIMIT - 200000;
						elsif (Switch(1) = '0') then
							COUNTER_LIMIT := COUNTER_LIMIT + 200000;
						end if;
						if (COUNTER_LIMIT > 30000000) then
							COUNTER_LIMIT := 30000000;
						elsif (COUNTER_LIMIT < 600000) then
							COUNTER_LIMIT := 600000;
						end if;
						if (Switch(2) = '0') then
							register001 <= register001(6 downto 0) & register001(7);
						else
							register001 <= register001(0) & register001(7 downto 1);
						end if;
					else
						counter001 <= counter001 + 1;
					end if;
					if (Switch(3) = '0') then
						LED_out <= not register001;  
					elsif (Switch(4) = '0') then
						LED_out <= x"FF";
					elsif (Switch(5) = '0') then
						LED_out <= x"00";
					else
						LED_out <= register001;
					end if;
				end if;
	end process count_and_move;

LED <= LED_out;

end Behavioral;

Przepraszam za brak komentarzy w kodzie opisujących działanie, nadmienię tylko sterowanie przez przyciski Switch działa w następujący sposób:

SW1 - obniża limit licznika (przyspiesza); SW2 - podwyższa limit licznika (opóźnia) - jak widać nie używamy w kodzie stałej 'constant'; SW3 - kierunek przesuwu rejestru;  SW4 - Negacja (1 LED lub pozostałe świecą); SW5 - ALL LED ON; SW6 - ALL LED OFF - SW5 i SW6 nie wpływają na stan rejestru (nie resetują). 

vhd11.gif.08dd27d3a004b18f8febbc6cf432dd74.gifvhd12.gif.e1e23b04719ae2810b700355122da031.gifvhd13.gif.10f4f3410d1a055c20b3e0ed5693e1ac.gifvhd14.gif.82b71631d0306c435422ef0872228554.gif

W pierwszym powyższym filmiku widać jak działają wyświetlacze 7seg ponieważ tylko edytowałem istniejący projekt Demo dla ElbertV2, jest to dozwolone ze względu na licencje CC BY - SA zmieniłem tylko jeden moduł vhdl (kod w załączniku: ElbertV2RunningLight.vhd). Jak widać można jeszcze coś dodać w nawet tak obszernym projekcie, myślałem że znacznie mniejsze są możliwości FPGA, jednak wcale aż tak nie jest z tym źle (oczywiście nie mam na myśli baaardzo dużych projektów po kilka/kilkanaście tysięcy linijek kodu).

Ostatni filmik zawiera ostatnią testowaną wersje która posiada funkcje sterowania jasnością tych LED-ów jak w pierwotnej wersji tylko że cyfrowo za pomocą dodanych kolejnych liczników w kodzie vhdl, zachowuje się to jak typowy PWM. Zmieniono sposób sterowania przez część przycisków Switch i DPSwitch. Zostało jeszcze sporo możliwości dodania dodatkowych funkcji i usprawnień. Ostatni kod vhdl dodam jako załącznik (LED_controll002.vhd).

Jak widać jest sens używać układów FPGA zamiast wielu TTL 74XXX i CMOS 4XXX, fajnie było by zrealizować stare już projekty i konstrukcje w nowym wydaniu z układem FPGA.

Jednak ostatecznie zdecydowałem się użyć "specjalnego" zgodnego modułu arduino UNO i innych łańcuchów LED (w tym nasz ulubiony WS2812B) do wznowionej gwiazdy. Postaram się opisać to w innym nowym temacie w odpowiednim dziale dla prostych projektów arduino.

Na koniec chciałbym zapytać doświadczonych programistów (sentyzatorów 😅?) FPGA w co najlepiej zainwestować na własne projekty płytek. Mamy jednak wybór co do scalaków FPGA takie jak Xilinx XC3SXXX (50 lub 200), Altery EPM240T100I5N, EPM3064ATC44, EPM570T144 etc... no i rzecz jasna jaki programator do tego np. Xilinx Platform DLC10 USB, chodzi o to żeby był jak najbardziej uniwersalny a zarazem szybki w użyciu i jeszcze w miarę tani co często nie idzie ze sobą w parze.

Pozdrawiam i czekam na odpowiedzi/sugestie.

Załączniki:fpga.zip

  • Lubię! 2
Link do komentarza
Share on other sites

Zarejestruj się lub zaloguj, aby ukryć tę reklamę.
Zarejestruj się lub zaloguj, aby ukryć tę reklamę.

jlcpcb.jpg

jlcpcb.jpg

Produkcja i montaż PCB - wybierz sprawdzone PCBWay!
   • Darmowe płytki dla studentów i projektów non-profit
   • Tylko 5$ za 10 prototypów PCB w 24 godziny
   • Usługa projektowania PCB na zlecenie
   • Montaż PCB od 30$ + bezpłatna dostawa i szablony
   • Darmowe narzędzie do podglądu plików Gerber
Zobacz również » Film z fabryki PCBWay

Dnia 9.12.2021 o 19:44, lognsf napisał:

Witam wszystkich.

Tak niedawno wykonując porządki na święta pomyślałem że wymiennie stary mojej już dawnej konstrukcji sterownik LED-ów do gwiazdy świątecznej które po upływie 17lat działały jeszcze sprawnie. Przyszło mi do głowy że zamiast tych użytych ttl, cmos i innych analogów można to zastąpić układem FPGA.

Na koniec chciałbym zapytać doświadczonych programistów (sentyzatorów 😅?) FPGA w co najlepiej zainwestować na własne projekty płytek. Mamy jednak wybór co do scalaków FPGA takie jak Xilinx XC3SXXX (50 lub 200), Altery EPM240T100I5N, EPM3064ATC44, EPM570T144 etc... no i rzecz jasna jaki programator do tego np. Xilinx Platform DLC10 USB, chodzi o to żeby był jak najbardziej uniwersalny a zarazem szybki w użyciu i jeszcze w miarę tani co często nie idzie ze sobą w parze.

Pozdrawiam i czekam na odpowiedzi/sugestie.

Cześć,

bardzo się cieszę, że następny użytkownik Forbota próbuje swoich sił  w projektach związanych z FPGA 😀.Co do projektów własnych płytek PCB z układami FPGA, to ograniczeniem jest obudowa układu FPGA - obudowy BGA jest bardzo trudno wlutować poprawnie w warunkach amatorskich. Dlatego ja raczej ograniczyłbym się do obudów LQPF (powiedzmy LQPF100, lub LQPF144). Takich układów jest mało na rynku.  Jeśli masz możliwość wlutowywania obudów BGA, lub zakładasz zlecenie montażu zewnętrznej firmie, to raczej wybrałbym nowsze układy FPGA z serii Spartan6, Spartan7, MAX10, Cyclone IV lub Cyclone10. Chińska firma "Gowin Semiconductors" ma nowoczesne układy FPGA w obudowach LQPF (i stosunkowo tanie), lecz trudniej z nich korzystać niż z np. układów Xilinx'a czy Intel'a. Na przykład z tej serii:

https://www.rutronik24.com/pgm/gowin/fpga/icfpga/

Poza tym dostępność układów FPGA jest teraz bardzo ograniczona, a ceny wygórowane. Jeśli masz więc jakieś układy FPGA "w szufladzie" to ich użyj, bo zakup nowych może być trudny.

Pozdrawiam i życzę dużego samozaparcia (bo temat jest dość trudny) w poznawania tajników układów programowalnych.

  • Lubię! 1
  • Pomogłeś! 1
Link do komentarza
Share on other sites

2 godziny temu, FlyingDutch napisał:

BGA jest bardzo trudno wlutować poprawnie w warunkach amatorskich

BGA jest trudno wlutować w warunkach amatorskich, jeżeli nie wiesz jak się do tego zabrać i masz słabej jakości powierzchnię na płytkach 😉 

Ogólna receptura na lutowanie BGA:

  1. Równa powierzchnia płytek drukowanych (ENIG, od biedy HASL)
  2. Podgrzewanie laminatu do (zazwyczaj) 100-125*C przed lutowaniem (by się nie odkształcał termicznie)
  3. Cienka warstwa topnika - nie używać za dużo
  4. Lutowanie układu BGA - ustawić równiutko, każde przesunięcie może spowodować problemy
  5. Lutować według profilu używając hotair'a ustawionego jak najdokładniej na normalnej względem płaszczyzny płytki.
  6. Wychładzać stopniowo, by nie odkształcić laminatu.

Fakt, jest to kilka razy bardziej skomplikowane niż lutowanie LQFP, ale dla chcącego nic trudnego.

Testowane na starych kościach DDR2 😉 [polecam kupić kilka i poćwiczyć, bo są tanie jak barszcz, więc jak uwalisz układ to nie będziesz płakał]

Osobiście FPGA sobie odpuściłem, bo nie było czasu, ale może wrócę...

2 godziny temu, FlyingDutch napisał:

Jeśli masz więc jakieś układy FPGA "w szufladzie" to ich użyj

Zawsze jest jeszcze opcja przelutowanie, ale to już bardzo skomplikowany proces, bo wymaga albo reballingu, albo zabawy z pastą (też się da, ale łatwiej na kulkach).

2 godziny temu, FlyingDutch napisał:

Chińska firma "Gowin Semiconductors" ma nowoczesne układy FPGA

Chyba będę musiał sobie przejrzeć ich asortyment... 

  • Lubię! 2
Link do komentarza
Share on other sites

15 minut temu, H1M4W4R1 napisał:

BGA jest trudno wlutować w warunkach amatorskich, jeżeli nie wiesz jak się do tego zabrać i masz słabej jakości powierzchnię na płytkach 😉 

Ogólna receptura na lutowanie BGA:

  1. Równa powierzchnia płytek drukowanych (ENIG, od biedy HASL)
  2. Podgrzewanie laminatu do (zazwyczaj) 100-125*C przed lutowaniem (by się nie odkształcał termicznie)
  3. Cienka warstwa topnika - nie używać za dużo
  4. Lutowanie układu BGA - ustawić równiutko, każde przesunięcie może spowodować problemy
  5. Lutować według profilu używając hotair'a ustawionego jak najdokładniej na normalnej względem płaszczyzny płytki.
  6. Wychładzać stopniowo, by nie odkształcić laminatu.

Fakt, jest to kilka razy bardziej skomplikowane niż lutowanie LQFP, ale dla chcącego nic trudnego.

Cześć,

@H1M4W4R1 a co sądzisz o takich tanich modelach tzw. "BGA Rework Stations" z Aliexpress.com:

https://pl.aliexpress.com/item/1005003235615746.html?spm=a2g0o.productlist.0.0.277f682aUljbcF&algo_pvid=3ed7ca79-89f9-4ff3-bea3-8dc37325353f&aem_p4p_detail=2021121102232317306975586602570013065018&algo_exp_id=3ed7ca79-89f9-4ff3-bea3-8dc37325353f-1&pdp_ext_f={"sku_id"%3A"12000024779741988"}

Czy ma sens zakup takiej stacji w celu rozpoczęcia prób z amatorskim lutowaniem układów z obudowami BGA. Jeśli nie ma to dlaczego, lub jakie sensowne stacje do lutowania BGA byś polecił?

Pozdrawiam

  • Lubię! 2
Link do komentarza
Share on other sites

Cześć,

Dziękuje za odpowiedź. Jeśli chodzi ogólnie o scalaki na obudowie BGA to już wcześniej zastanawiałem się nad sensem kupna sprzętu do lutowania tych scalaków. Tych profesjonalnych stacji lutowniczych raczej nie kupie bo są za drogie, inne tańsze z hotair i nagrzewaniem płytki za pomocą podczerwieni diody/lampy IR w dość nie tak dużej cenie z znanego portalu z chin mógłbym się zdecydować, ale nie wiem  czy na pewno było by to skuteczne i mało awaryjne. 

Osobiście nigdy nie lutowałem ic-ka w wersji BGA natomiast znam kilku zdolnych "naprawiaczy" co wykonywali takie zadania manualnie, najczęściej był to wspomniany reballing. Sam proces lutowania scalaków BGA jest mi znany z procesów produkcyjnych. Wiem że do procesu lutowania należy na przygotowaną płytkę nałożycz odpowiednie sito odpowiednie dla danego ic z kulkami cyny które są wstępnie przylutowanie do płytki, następnie jest dolutowany właściwy układ BGA (oczywiście po wyjęciu sita), jest to proces dosyć skomplikowany jak dla amatora, ponieważ wymaga odpowiednich ustawień temperatur i innych warunków które mogą zadecydować o powodzeniu w tym procesie. Tak więc w większości przypadków wykonywały te powtarzane zadania automatyczne maszyny przeznaczone do tych zadań dla masowej produkcji, o ich cenie nawet nie chcę wspominać bo dochodzi od 100k PLN do 1M PLN lub więcej.

Co do wspomnianych innych łatwiejszych rozwiązań (bez konieczności zabawy z układami BGA) to myślę że na sam początek ma większy sens powodzenia, tym bardziej że faktycznie z "szuflady" znalazłem kupione już za nie tak dużą cenę z aliexp. aż 3 lata temu. Są to układy ALTERY EPM3064ATC44, EPM570T144 oraz gotowe kity z stykami i gniazdem zasilania (podobnie jak w płytkach arduino ale bez programatora) takie jak: FPGA Cyclone II EP2C5T144,  ALTERA MAX EPM240 CPLD z programatorem USB Blaster (Rev. C dla układów ALTERY) . Problem polega na tym że znowu trzeba zainstalować nowe środowisko do konfigurowania i syntezy dla tych układów (pakiet Quartus II), będzie potrzebny czas do nauczenie się i ogarnięcia tego systemu. Jakby była taka możliwość to może jest to dobry pomysł na kolejne szkolenie FPGA na nieco innych modułach? 

Tak przy okazji mamy też ciągłe problem z decyzją co lepsze język VHDL czy Verilog. Dla mnie ten pierwszy jest podobny do BASIC lub BASCOM którego miałem lata temu w szkole średniej. Natomiast ten drugi wizualnie bardziej się podoba ponieważ jest zbliżony do C/C++. Myślę że dobrym pomysłem w kolejnych szkoleniach z FPGA  było by użycie kodu w Verilog.

Czytałem ostatnio więcej postów w temacie FPGA na tutejszej stronie i jestem bardzo zadowolony że temat jest otwarty i dziękuje wszystkim za poruszone zagadnienia związane z tą problematyką które mogły by mi umknąć.

@flying__dutch bardzo ciekawy temat translatora verilog -> vhdl dla mnie jest to super pomysł, pomocny w zrozumieniu różnic w tych językach.

Inne świetne strony które muszę po prostu za-promować: 

Projekty na FPGA

Kurs Verilog

W innych tematach w tym dziale znalazłem też ciekawe linki do stron zagranicznych w języku EN, gzie też można się dużo dowiedzieć.

Działajmy razem tak dalej 👍 !

Pozdrawiam wszystkich.  

  • Lubię! 2
Link do komentarza
Share on other sites

10 godzin temu, FlyingDutch napisał:

a co sądzisz o takich tanich modelach tzw. "BGA Rework Stations" z Aliexpress.com:

Zależy czy masz HotAir'a... Jak tak, to jest to zbędny wydatek, bo takie "stacje" to w rzeczywistości kombinowanie podgrzewacza do laminatu z hotairem. Jak dasz radę zrobić sobie statyw do hot'a, który będzie miał dokładne kąty, to będzie on kilka razy lepszy niż ta stacja, bo w nich hot lubi sobie "latać", a jednak kąt ustawienia ma dość duże znaczenie (nie zdmuchuje układu).

Po prostu zrób sobie uchwyt do hotair'a tak by był ustawiony pod kątem 90stopni do laminatu (ja kupiłem taki pseudo-statyw za 50zł i dość mocno się buja) i dodaj na spód jakiś stary stół od drukarki (jako podgrzewacz) jeżeli masz zbędny (ja akurat miałem z mojej Sapphire Pro, który buja się do 110*C). Alternatywnie możesz też zrobić sobie płytę grzewczą z żelazka na PID.

Ogólna budowa stacji BGA to hotair u góry, na dole podgrzewacz, czasem kamera w obie strony (służy do ustawiania układu) oraz śruby pozycjonujące... Ale minimum to te dwie pierwsze rzeczy i układy powinny się lutować akceptowalnie. Szkoda kasy na kolejną stację zajmującą miejsce, która nie oferuje znacznie lepszych osiągów niż to, co obecnie posiadasz...

Kiedyś myślałem o konstrukcji swojej stacji BGA, ale zrezygnowałem, bo za dużo zabawy z tym i nie miałem stosownego zaplecza...

Technicznie najdroższy byłby hotair... i grzałki... Bo kamerki do Raspberry Pi oraz Pi0-2W są dość tanie, by zrobić sterowanie... Ekran oczywiście za pół darmo z odzysku (mam jeden monitor z upalonymi 5% matrycy, pozdrawiam gniazdka "samoróbki" poprzedniego właściciela...).

Największe problemy BGA to 

  1. równe podłoże do lutowania (by kulki nie popękały) - HASL czasem jest lekko krzywy, chociaż JLCPCB całkiem nieźle sobie radzi
    • Appendix - w warunkach domowych da się zrobić ENIG, ale trochę jest z tym "zabawy" (i nie jest to najtańsza opcja, bo jednak trzeba kupić złoto, chyba że ktoś ma procesory / RAM z odzysku... (najwięcej złota jest w układach scalonych na pamięci operacyjnej)).
  2. równe ułożenie układu, by przylutował się we właściwym miejscu
8 godzin temu, lognsf napisał:

Tych profesjonalnych stacji lutowniczych raczej nie kupie bo są za drogie, inne tańsze z hotair i nagrzewaniem płytki za pomocą podczerwieni diody/lampy IR

Te chińskie "promienniki IR" to w rzeczywistości grzałki ceramiczne 😄 

8 godzin temu, lognsf napisał:

Tak przy okazji mamy też ciągłe problem z decyzją co lepsze język VHDL czy Verilog.

Ja akurat jestem zwolennikiem Veriloga, a @FlyingDutch woli VHDL. To kwestia preferencji...

 

  • Lubię! 2
Link do komentarza
Share on other sites

Dnia 11.12.2021 o 16:12, FlyingDutch napisał:

Cześć,

VHDL i Verilog różnią się nie tylko składnią, są bardziej zasadnicze różnice:

1) VHDL jest bardziej precyzyjny i przewidywalny jeśli chodzi o wyniki syntezy (to jest główna zaleta dla mnie)

2) Verilog jest bardziej językiem "niskopoziomowym" - np. umożliwia opis układu na poziomie kluczy tranzystorowych i pojemności oraz zawiera wiele rozbudowanych prymitywów bramek. W VHDL'u nie ma odpowiedników tych konstrukcji.

3) Składnia VHDL'a jest dla mnie bardziej czytelna - co dziwi mnie samego bo od ponad dwudziestu lat używam języka C i bardzo lubię jego składnię.

4) Większość ciekawych projektów w sieci jest opartych na języku Verilog (dlatego częściej używam tego języka).

Zapomniałem dodać:

5) W Verilogu nie ma pojęcia biblioteki, co jest niewygodne w większych projektach (można includować pliki, ale to nie to samo).

Pozdrawiam

  • Lubię! 1
  • Pomogłeś! 1
Link do komentarza
Share on other sites

Dołącz do dyskusji, napisz odpowiedź!

Jeśli masz już konto to zaloguj się teraz, aby opublikować wiadomość jako Ty. Możesz też napisać teraz i zarejestrować się później.
Uwaga: wgrywanie zdjęć i załączników dostępne jest po zalogowaniu!

Anonim
Dołącz do dyskusji! Kliknij i zacznij pisać...

×   Wklejony jako tekst z formatowaniem.   Przywróć formatowanie

  Dozwolonych jest tylko 75 emoji.

×   Twój link będzie automatycznie osadzony.   Wyświetlać jako link

×   Twoja poprzednia zawartość została przywrócona.   Wyczyść edytor

×   Nie możesz wkleić zdjęć bezpośrednio. Prześlij lub wstaw obrazy z adresu URL.

×
×
  • Utwórz nowe...

Ważne informacje

Ta strona używa ciasteczek (cookies), dzięki którym może działać lepiej. Więcej na ten temat znajdziesz w Polityce Prywatności.